Sublime Forum

SystemVerilog package is missing

#1

Hi, I couldn’t find the SystemVerilog syntax when I used the Package Control. Is it missing or unavailable?

0 Likes

#2

https://packagecontrol.io/packages/SystemVerilog shows “missing” but it can just mean unavailable:

Package info was unavailable last time crawler ran. Error downloading repository. HTTP error 503 downloading https://api.bitbucket.org/1.0/repositories/Clams/sublimesystemverilog/changesets/1.1.0.

the repo still exists however: https://bitbucket.org/Clams/sublimesystemverilog so I’d vote for temporarily unavailable

0 Likes

#3

Yes, I talked to will bond about it, and apparently bit bucket API is a bit unreliable. I need some time to set up a mirror on github, but in the meantime you can just add the repo address manually to package control.

0 Likes