Sublime Forum

Syntax Highlighting for Verilog, SystemVerilog and UVM

#1

I was wondering if there is any syntax highlighting feature for Verilog, SystemVerilog and UVM on Sublime Text 3.

0 Likes

#2

https://packagecontrol.io/packages/SystemVerilog

0 Likes

#3

Qt has such a feature in the ifdef … endif blocks if the macro is not defined, then it is shaded in the conditional block. Why not implement it here?

0 Likes

#4

This request is off topic!

0 Likes

#5

Well, where to ask this question? This category is “Wishes and Suggestions”!

0 Likes

#6

Well, where to ask this question? This category is “Wishes and Suggestions”!

Create your own thread. No one is discussing Qt in this thread, or if you read the thread title.

0 Likes